首页 / 供应信息 / 电工电子电气实验实训装置

数电模电EDA综合实验系统,TRY-E816型EDA、SOPC系统综合实验箱

TRY-SAE数电模电EDA综合实验系统

数电模电EDA综合实验系统简介:

TRY-SAE数电模电EDA综合实验系统能够完成“电路基础”、“模拟电子技术”、“数字电子技术”、“ISP在系统编程EDA实验”、“VHDL设计”、“电子系统综合设计”等课程的实验。在实验方式上采用全新理念,保留了经典的传统基础功能模块验证性实验,增加了对中大规模复杂系统的设计、分析和管理实验,为学生提供了二次开发的良好环境,加强了学生对系统设计概念的培养和实践,以适应电子系统日趋数字化、复杂化和大规模集成化发展的需要,真正做到学用结合,为创新性人才的培养打下良好基础。

一、数电模电EDA综合实验系统特点:数电模电EDA综合实验系统

实验箱具有安全、稳定、可读、可调整、直观、灵活和新型等特点,操作简单方便,实验箱运行可靠。具有很强的二次开发功能。

1、安全性

人员安全的保护:不论实验箱在正常工作或故障状态下,不会危及操作人员的人身安全。

对误操作的保护:不会因为误操作而导致实验箱损坏。

电源的过流保护:因短路等故障而过流时,可自动切断实验箱电源。

2、直观性

实验箱功能模块的主要电气原理或特征将在面板或电路卡上指示,实验箱各功能引脚的符号标注在面板上。

3、灵活性

实验箱采用主板和各模块分离的设计,可编程器件焊接在独立模块上。通过选择模块可以选择不同厂家、不同型号、不同规模的可编程器件,既可适应不同的教学需要,也使系统的功能和规模扩展变得更为方便。

为了方便实验操作,减少对实验仪器仪表的依赖,实验箱主板上各部分功能模块(包括一些基本功能模块和实验小工具)几乎都是相互独立的,可以根据需要选择模块进行接线。

实验箱提供扩展集成插座、面包板和部分必须的分立元件等,留有足够的接线机会,也给实验箱留有足够的机动灵活性。

4、新颖性

实验箱提供了逻辑可编程实验平台和模拟可编程实验平台,其中逻辑可编程实验平台包括CPLD/FPGA模块,模拟可编程实验平台包括ispPAC模块。不同模块使用不同的芯片,根据需要可选择不同功能芯片的模块插接到实验主板上。

5、电源多样性

系统提供了多种电压,方便用户使用。

(1)±5V/1A(2)±12V/0.2A(3)0~27V/0.2A连续可调(4)0~-12V/0.2A连续可调

6、系统资料

根据用户选择的配置,向用户提供完整的实验程序(如有),文档,软件。

二、数电模电EDA综合实验系统功能主要特点

(一)数字电路功能单元

1、4×4矩阵键盘:矩阵式结构,组合按键。

2、16位拨动开关:开关量输入。

3、十进制8421拨码盘:提供0~9十进制编码值。

4、16位开关量输出:发光二极管红、黄、绿色三组各5只高亮度发光二极管,含电流驱动。

5、6位LED显示:7段数码管静态显示方式6位,动态显示方式1-6位均可,含电流驱动。

6、有源晶振:4MHz标准时钟,通过模块跳线器选择,向可编程器件的CLK提供时钟。

7、单脉冲发生器:提供加消抖处理,单个±脉冲输出。

8、逻辑笔:可测量逻辑高低电平、高阻和脉冲状态。

(二)模拟电路功能单元

1、模拟电路模块接入区:可接入各种模拟电路实验模块

2、波形发生器单元

输出波形:方波、三角波、正弦波

幅值:正弦波:0~14V(14V为峰-峰值,且正负对称)

三角波:0~24V(24V为峰-峰值,且正负对称)

方波:0~24V(24V为峰-峰值,且正负对称)

频率范围:分四档2HZ~100HZ、90HZ~1KHZ、900HZ~10KHZ、9KHZ~90KHZ

3、直流信号源单元:双路±5V/1mA、±0.5V/mA、两档连续可调。

4、3位半数字电压表:测量范围:-19.99V~+19.99V

(三)EDA实验功能单元

1由数字电路功能单元、模拟电路功能单元共同组成。

2、可编程器件模块。标准配置为Lattice的IspLsi1032.用户也选择其它公司的CPLD/FPGA(选配)。

(四)扩展实验模块区

1、集成电路扩展插座:可插实验用IC芯片或ispPAC模块。

2、面包板单元。

三、数电模电EDA综合实验系统实验内容:

1、数字部分基本实验:

(l)门电路逻辑功能及测试;

(2)组合逻辑电路(半/全加器);

(3)R-S、D、JK4、触发器;

(4)三态输出触发器、锁存器;

(5)集成计数器及寄存器;

(6)时序电路测试及研究;

(7)译码器和数据选择器;

(8)波形发生及单稳态触发器;

(9)555时基电路

可选做如下实验:

(10)CMOS门电路测试;

(11)门电路的驱动能力测试;

(12)寄存器及其应用实验;

(13)顺序脉冲和脉冲分配器电路;

(15)多路模拟开关及其应用实验;

(16)四路优先判决电路实验;

(17)TS、OC门的功能测试及其应用实验;

(18)逻辑笔实验与分析实验;

(19)施密特触发器及其应用实验;

(20)数字定时器实验;

(21)触发器应用实验;

(22)时序电路应用实验;

(23)单稳态触发器及其应用实验;

(24)电压变换器实验;

(26)模数,数模转换电路实验

2、模拟部分实验内容:

(一)分立元件电路实验

1、基本单级放大电路;

2、两级放大电路;

3、负反馈放大电路;

4、射级跟随器;

5、差动放大电路;

6、比例求和运算放大电路;

(二)集成运算放大电路

7、模拟运算电路

①电压跟随器

②反向比例放大器

③同相比例放大器

④反相求和比例放大器

⑤双端输入求和放大电路;

8、积分与微分电路

①积分电路

②微分电路

③微积分电路;

9、波形发生电路

①方波发生器

②占空比可调的矩形波发生器

③三角波发生电路

④锯齿波发生电路;

10、有源滤波器

①通滤波器

②高通滤波器

③带阻滤波器;

11、电压比较器

①零比较器

②反相滞回比较器

③同相滞回比较器

(三)其它实验

12、集成电路RC正弦波振荡;

13、集成功率放大器;

14、整流滤波与并联稳压电路;

15、串联稳压电路;

16、集成稳压电路;

17、RC正弦波振荡器;

18、LC振荡器及选频放大器;

19、电流/电压转换电路;

20、电压/频率转换电路;

21、互补对称功率放大器;

22、波形变换电路

3、电路分析基本实验:

1、常用电子元件的特性认识(2、3端元件);

2、伏安特性的测试;

3、受控源特性的研究;

4、R、C电路特性;

5、1、2阶电路的瞬态(时域)响应;

6、双口网络的研究。

4、EDA实验内容

1、单元电路设计实验:

(1)常用门电路设计;

(2)编码器/译码器设计;

(3)触发器及时序电路设计;

(4)同步/异步计数器设计;

(5)键盘扫描实验;

(6)加法器实验

2、综合设计实验:

(1)SSI组合电路的设计与冒险竞争观察;

(2)MSI组合电路的设计;

(3)可读写寄存器的设计。

3研究创新实验:

(1)数字频率计;

(2)数字电子钟设计;

(3)十字路口交通灯自动控制器的设计;

(4)出租车计费器的设计;

(5)数字滤波器设计;

(6)DAC、ADC模型设计;

(7)数字模拟综合系统设计

四、数电模电EDA综合实验系统配置

1、数电模电EDA实验箱一台(含电源)

2、交流电源线一根

3、在系统逻辑可编程模块一块(或多块选配)

4、在系统模拟可编程模块(多块选配)

5、并行下载电缆一根

6、模拟电路实验板一块(多块选配)

7、实验指导书一套

8、实验导线若干根




TRY-E816型EDA、SOPC系统综合实验箱

EDA、SOPC系统综合实验箱是一款基于Altera公司的CycloeIV 系列 EP4CE40高端实验开发平台。独有的GUI人机操作界面、EDA实验平台采用系统底板+核心板+扩展板的灵活设计,EDA实验平台并配备有20多种扩展模块供用户自行选择配置。

一、开发平台特性

1、GUI软件操作界面:

EDA、SOPC系统综合实验箱独家采用GUI人机操作界面,开机可显示温度、时间和设备信息等。整合开发平台上的硬件资源,点击子菜单中相关实验,液晶屏可与开发平台同步运行,提高学生动手兴趣和积极性,通过对设备各模块的检测和实验的演示,

方便老师培训和设备检修。

EDA、SOPC系统综合实验箱

EDA、SOPC系统综合实验箱

数字示波器实验界面

交通信号灯实验界面

2、模块化的灵活设计:

开发平台采用系统底板+核心板+扩展板的设计方法,通过选择不同的核心板和扩展板构成不同功能的开发平台。能最大限度的满足用户的性能需求。模块化的设计能使用户对系统设计有清晰的认识。


TRY-E816型EDA、SOPC系统综合实验箱


二、开发平台硬件资源

1、IOSII-EP4CE40 FPGA核心板

  核心板采用10层高精度PCB设计,系统运行更加稳定、可靠。

  主芯片采用Altera的CycloeIV系列级FPGA EP4CE40F23C8,电路高达360万门。

  FPGA配置芯片采用EPCS16,容量高达16M BIT,擦写次数高达上万次。

  提供JTAG编程模式。

  核心板板载USB-Blaster电缆;只需要一根USB线就可以对核心板进行程序下载。

  EDA、SOPC系统综合实验箱一路50M高速、稳定的时钟源。

  一路系统复位电路。

  系统电源管理模块能够提供+5V、+3.3V、+2.5V、1.2V等多种不同电压的电源输出供系统使用。

  提供两路SRAM,芯片采用IDT71V416-10P。容量高达256K*32BIT。

  一路FLASH 芯片采用AM29L128M。容量高过16M*8BIT。

  一路16M*16BITSDRAM。

  系统提供四位通用的复位按键和四位通用的发光管和一个静态七段码管显示。

  核心板提供与核心板其它资源不复用的190个以上的IO供用户二次开发使用。

2、EDA/SOPC系统板

  标配800*600 16位 TFT彩色LCD显示。用户可更换不同规格的显示屏和触摸屏。

  1个模拟信号发生器模块,可提供频率、幅度均可调的正弦波、三角波、锯齿波、方波等信号波形。

  1个数字时钟输出模块,可提供24M至1HZ的数字脉冲信号。

  1个双道道10位高速并行ADC接口模块,速度高达40 Msps。

  1个双道道10位高速并行DAC接口模块速度高达33 Msps。

  1个串行A/D转换接口。

  1个串行D/A转换接口。

  1个VGA接口模块。

  1个UART串行通迅模块。

  1个USB Device设备接口。

  1个USB Host主机接口。

  1个Etheret10M/100M高速接口模块。

  SD卡接口模块

  2个PS2接口模块,可以接键盘或鼠标。

  1个I2C接口的E2PROM,型号为AT24C08。

  1个音频CODEC模块(立体声双通道输出)。

  1个音频喇叭输出模块。

  1个RTC实时时钟芯片,具有时钟掉电保护、电池在线式充电功能。

  12个拨动开关和12个按键开关输入

  12个发光LED显示。

  1个八位七段码管显示模块。

  16x16矩阵led点阵显示模块。

  4X4矩阵键输入模块

  1个电压控制的直流电机和1个四相的步进电机模块。

  1个数字温度传感和1个霍尔传感器模块。

  HH—EXT高速接口模块。

  多路电源输出(均带过流、过压保护)。

3、扩展子板(选配)

  EDA、SOPC系统综合实验箱EDA、SOPC系统综合实验箱CMOS视频采集模块

  视频编解码模块

  MP3采集回放模块

  单片机扩展模块

  无线传感扩展模块……

三、示例实验

1、EDA实验与电子设计竞赛实验内容:


  简单的QUARTUSII实例设计

  基于VHDL格雷码编码器的设计

  含异步清零和使能的加法计数器

  八位七段数码管显示电路的设计

  数控分频器的设计

  图形和VHDL混合输入的电路设计

  步长可变的加减计数器的设计

  四位并行乘法器的设计

  设计四位全加器

  可控脉冲发生器的设计

  基本触发器的设计

  矩阵键盘显示电路的设计

  16*16点阵显示实验

  直流电机的测速实验

  步进电机驱动控制

  PS2接口键盘显示实验

  VGA彩条信号发生器的设计

  用VHDL设计七人表决器

  用VHDL设计四人抢答器

  正负脉宽调制信号发生器设计

  数字频率计的设计

  多功能数字钟的设计

  数字秒表的设计

  出租车计费器的设计

  基于VHDL数码锁的设计

  PS2鼠标编码设计

  SPI串行AD/DA转换器的设计

  DDS信号发生器的设计

  序列检测器的设计

  伪随机数发生器的设计

  八位数据锁存器的设计

  最高优先编码器的设计

  解复用器的设计

  带同步复位的状态机的设计

  嵌入式逻辑分析仪的使用

  SPI串口内核的实现


2、IOSII32位处理器示例实验


  最简单IOSII系统设计

  带外部SRAM的IOSII系统设计

  or Flash编程实验

  PIO外部中断按键开关实验

  PIO输入-开关信号的读取实验

  基于Timer IP核的定时器的设计

  矩阵键盘与数码管显示实验

  高速AD和高速DA实验

  UART串口通迅实验

  基于IIC的EEPROM读写实验

  1-WIRE数字温度计的设计

  串行AD/DA转换实验

  SDRAM读写操作实验

  彩色LCD液晶显示实验

  触摸屏控制实验

  RTC实时时钟实验

  音频Code实验

  USB枚举实验

  PS/2键盘显示实验

  PS/2鼠标控制实验

  读SD卡实验


3、DSP Builder设计应用示例实验

  从DSP Builder到HDL——基于DSP Builder的信号发生器

  从DSP Builder到SOPC Builder——软件控制的Chirp信号发生器

  IP核在DSP Builder下的使用——以FFT核为例

4、综合开发实验


网络WEB控制实验

点阵显示屏的设计

直流电机闭环调速的设计

USB接口文本阅读器的设计

简易数字示波器设计

简易频谱分析仪设计

基于UART通信液晶显示实验

基于UART通信BMP图形显示实验

FAT32文件系统读SD卡实验

FAT32文件系统写SD卡实验

FAT32文件系统读写-拼音输入法的设计

彩色液晶原理与绘图应用实验

基于IOSII贪食蛇游戏设计实验

基于IOSII计算器设计实验

在iosII上运行uC/OS系统

在iosII上运行uCliux系统

MP3媒体播放器的设计(选配MP3扩展板)

RFID无线射频设计(选配RFID扩展板)

无线传感网络的设计(选配Zigbee板)

USB2.0通信实验(选配USB2.0模块)


四、产品配置

设备名称

EDA/SOPC系统综合开发平台

型 号

TRY-E816型

核心芯片

EP4CE40F23C8

工作电压

~220v±10%,50Hz±1Hz

尺寸(mm)

410 x 260 x 80

重量(kg)

<4

附件清单

1

串口线 × 1

2

USB连接线 × 1

3

网络连接线× 1

4

电源连接线 × 1

5

实验指导书× 3

6

开发DVD套件× 1